Frattini, Flavio (2014) CONSUMABILITY ANALYSIS OF BATCH PROCESSING SYSTEMS. [Tesi di dottorato]

[img]
Anteprima
Testo
thesis_frattini_201403312219.pdf

Download (7MB) | Anteprima
[error in script] [error in script]
Tipologia del documento: Tesi di dottorato
Lingua: English
Titolo: CONSUMABILITY ANALYSIS OF BATCH PROCESSING SYSTEMS
Autori:
AutoreEmail
Frattini, Flavioflavio.frattini@unina.it
Data: 31 Marzo 2014
Numero di pagine: 210
Istituzione: Università degli Studi di Napoli Federico II
Dipartimento: Ingegneria Elettrica e delle Tecnologie dell'Informazione
Scuola di dottorato: Ingegneria dell'informazione
Dottorato: Ingegneria informatica ed automatica
Ciclo di dottorato: 26
Coordinatore del Corso di dottorato:
nomeemail
Garofalo, Francescofrancesco.garofalo@unina.it
Tutor:
nomeemail
Russo, Stefano[non definito]
Data: 31 Marzo 2014
Numero di pagine: 210
Parole chiave: PERFORMANCE, CONSUMPTION, DEPENDABILITY
Settori scientifico-disciplinari del MIUR: Area 09 - Ingegneria industriale e dell'informazione > ING-INF/05 - Sistemi di elaborazione delle informazioni
Aree tematiche (7° programma Quadro): TECNOLOGIE DELL'INFORMAZIONE E DELLA COMUNICAZIONE > Ambiente, energia e trasporti
ENERGIA > Efficienza e risparmi energetico
Depositato il: 14 Apr 2014 05:53
Ultima modifica: 27 Gen 2015 13:37
URI: http://www.fedoa.unina.it/id/eprint/9767

Abstract

The use of large scale processing systems has exploded during the last decade and now they are indicated for significantly contributing to the world energy consumption and, in turn, environmental pollution. Processing systems are no more evaluated only for their performance, but also for how much they consume to perform at a certain level. Those evaluations aim at quantifying the energy efficiency conceived as the relation between a performance metric and a power consumption metric, disregarding the malfunction that commonly happens. The study of a real 500-nodes batch system shows that 9% of its power consumption is ascribable to failures compromising the execution of the jobs. Also fault tolerance techniques, commonly adopted for reducing the frequency of failure occurrences, have a cost in terms of energy consumption. This dissertation introduces the concept of consumability for processing systems, encompassing performance, consumption and dependability aspects. The idea is to have a unified measure of these three main aspects. The consumability analysis is also described. It is performed by means of a hierarchical stochastic model that considers the three aspects simultaneously in the process of evaluating the system efficiency and effectiveness. The analysis represents a solution to system owners and administrators that need to evaluate cost-benefit trade-off during the design, development, testing and operational phases. The analysis is illustrated for two case studies based on a real batch processing system. The studies provides a set of guidelines for the consumability analysis of other systems and empirically confirm the importance of contemplating dependability jointly with performance and consumption for making processing systems really energy efficient.

Downloads

Downloads per month over past year

Actions (login required)

Modifica documento Modifica documento